腾讯文库搜索-项目四+LCD1602液晶显示
[VHDL代码]LCD1602驱动
[VHDL代码]LCD1602驱动library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.S
单片机lcd1602显示(汇编)
利用P1口与行列式键盘接口,编写键盘扫描程序,把按键输入的键码,显示在LCD液晶显示器上。 ORG 0000H JMP MAIN RS EQU P1^0 RW EQU P1^1 E EQU P1^5
lcd1602液晶初始化程序(汇编)
LCD1602液晶初始化程序(汇编)〔原创〕1602液晶初始化程序(2007-12-22 18:10:40) 标签:1602液晶 it/科技 汇编语言 液晶初始化,显示数字,按秒计数 RS EQU P
LCD1602字符测试显示程序与仿真
#include "reg51.h"#include "intrins.h"#define uchar unsigned char#define uint unsigned intuchar code
单片机LCD1602 C语言程序
#include <reg52.h>unsigned char code tab1[16]=" Now time is: ";unsigned char tab2[16]=" 00:00:
温度传感器DS18B及LCD1602的使用
西南科技大学实验报告课程名称: 单片机原理及其应用A 实验名称:LCD1602及DS18B20的应用 姓 名: XX
lcd1602指令集
HD44780 内置了 DDRAM、CGROM 和 CGRAM。DDRAM 就是显示数据 RAM,用来寄存待显示的字符代码。共 80 个字节,其地址和屏幕的对应关系如下表:也就是说想要在 LCD160
基于LCD1602显示的电子密码锁设计
课程设计 题目 基于LCD1602显示的电子密码锁设计学院名称 电气工程学院 指导老师 朱卫华 班 级 电子信息工程01班学 号
基于LCD1602电子时钟
信息与电子工程学院课程设计报告课 程单片机技术应用设计题目基于LCD1602电子时钟专 业班 级成 员姓 名学 号分工成 绩指导老师答辩日期 目录TOC \o "1—3" \h
基于VHDL语言LCD1602的数字显示
基于VHDL语言LCD1602的数字显示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity
LCD1602显示屏的驱动设置及例程
LCD1602显示屏的驱动设置及例程一般来说,LCD1602有16条引脚,据说还有14条引脚的,与16脚的相比缺少了背光电源A(15脚)和地线K(16脚)。我手里这块LCD1602的型号是HJ1602
lcd1602计算器
1.实验目的。1、掌握单片机定时器、中断、键盘扫描、动态显示及数值计算的综合运用能力;2、掌握编程的运用能力;3、设计一只简易的16键计算器。2.实验内容。图5-1 简易16键计算器编程实现图5-1