腾讯文库搜索-VHDL实验:乐曲演奏
《VHDL入门》PPT课件
- EDA技术与VHDL - 第3章VHDL 入门 - KX康芯科技 - 3.1 简单组合电路的VHDL描述
EDA技术与VHDL-第一章
- EDA技术与VHDL-第一章 - 引言EDA技术概述VHDL简介VHDL设计流程VHDL设计实例结论 - 引言 -
[工学]第04章 VHDL程序设计基础
- 第4章 VHDL程序设计基础 - 主要内容:1、层次化设计方法 2、库 3、程序包 4、文件输入输出程序包
VHDL源代码-计数器
十五计数器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY fiveteencout
vhdl实现简易计算器
library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.AL
vhdl语言数字钟代码
顶层文件library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigne
VHDL门级与结构建模
- 第 三讲 门级与结构建模 - 内容:结构建模分类Verilog内建基本门门级结构建模门延迟测试模块模块仿真入门 - 前言
VHDL寄存器组设计说明
本寄存器组设计需要以下四个代码模块实现--以下为VHDL寄存器组代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity regfile isPort (
VHDL语言正弦波信号发生器设计
AS正弦波__发生器设计一、实验内容1.设计一正弦__发生器,采用ROM进行一个周期数据存储,并通过地址发生器产生正弦__。(ROM:6位地址8位数据;要求使用两种方法:VHDL编程和LPM)2.正弦
基于VHDL的数字钟设计
安徽工业经济职业技术学院毕业论文(设计)题 目: 基于VHDL旳数字钟设计 系 别:电子信息技术系专 业:电子信息工程技术学 号:学生姓名:王翀指引教师:王俊职 称:
基于VHDL的电梯控制系统设计资料
VHDL数字系统设计与测试实验报告基于VHDL的电梯控制系统设计一、设计背景及说明随着高层建筑的不断涌现,对电梯的需求也与日俱增,电梯已经成为我们日常生活中不可缺少的部分,稳定可靠性高的电梯系统成为了
《VHDL语言》PPT课件
- VHDL语言 - HDL - Hardware Description Language - 硬件 - 描